skip to main content

3D-Stacked Memory Architectures for Multi-core Processors

Loh, Gabriel

2008 International Symposium on Computer Architecture, 2008, p.453-464

IEEE Computer Society

Texto completo disponível

Citações Citado por
  • Título:
    3D-Stacked Memory Architectures for Multi-core Processors
  • Autor: Loh, Gabriel
  • Assuntos: 3D integration ; 3D integration, multi-core, memory ; Bandwidth ; Data structures ; Delay ; memory ; Memory architecture ; Microprocessors ; multi-core ; Multicore processing ; Random access memory ; Stacking ; System performance ; Wire
  • É parte de: 2008 International Symposium on Computer Architecture, 2008, p.453-464
  • Descrição: Three-dimensional integration enables stacking memory directly on top of a microprocessor, thereby significantly reducing wire delay between the two. Previous studies have examined the performance benefits of such an approach, but all of these works only consider commodity 2D DRAM organizations. In this work, we explore more aggressive 3D DRAM organizations that make better use of the additional die-to-die bandwidth provided by 3D stacking, as well as the additional transistor count. Our simulation results show that with a few simple changes to the 3D-DRAM organization, we can achieve a 1.75x speedup over previously proposed 3D-DRAM approaches on our memory-intensive multi-programmed workloads on a quad-core processor. The significant increase in memory system performance makes the L2 miss handling architecture (MHA) a new bottleneck, which we address by combining a novel data structure called the Vector Bloom Filter with dynamic MSHR capacity tuning. Our scalable L2 MHA yields an additional 17.8% performance improvement over our 3D-stacked memory architecture.
  • Editor: IEEE Computer Society
  • Idioma: Inglês

Buscando em bases de dados remotas. Favor aguardar.