skip to main content
Mostrar Somente
Refinado por: Base de dados/Biblioteca: ACM Digital Library remover
Result Number Material Type Add to My Shelf Action Record Details and Options
1
Simba: Scaling Deep-Learning Inference with Multi-Chip-Module-Based Architecture
Material Type:
Ata de Congresso
Adicionar ao Meu Espaço

Simba: Scaling Deep-Learning Inference with Multi-Chip-Module-Based Architecture

Shao, Yakun Sophia ; Clemons, Jason ; Venkatesan, Rangharajan ; Zimmer, Brian ; Fojtik, Matthew ; Jiang, Nan ; Keller, Ben ; Klinefelter, Alicia ; Pinckney, Nathaniel ; Raina, Priyanka ; Tell, Stephen G. ; Zhang, Yanqing ; Dally, William J. ; Emer, Joel ; Gray, C. Thomas ; Khailany, Brucek ; Keckler, Stephen W.

Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture, 2019, p.14-27

New York, NY, USA: ACM

Texto completo disponível

2
Stream-dataflow acceleration
Material Type:
Ata de Congresso
Adicionar ao Meu Espaço

Stream-dataflow acceleration

Nowatzki, Tony ; Gangadhar, Vinay ; Ardalani, Newsha ; Sankaralingam, Karthikeyan

2017 ACM/IEEE 44th Annual International Symposium on Computer Architecture (ISCA), 2017, p.416-429

ACM

Sem texto completo

3
DaDianNao: A Machine-Learning Supercomputer
Material Type:
Ata de Congresso
Adicionar ao Meu Espaço

DaDianNao: A Machine-Learning Supercomputer

Chen, Yunji ; Luo, Tao ; Liu, Shaoli ; Zhang, Shijin ; He, Liqiang ; Wang, Jia ; Li, Ling ; Chen, Tianshi ; Xu, Zhiwei ; Sun, Ninghui ; Temam, Olivier

2014 47th Annual IEEE/ACM International Symposium on Microarchitecture, 2014, p.609-622

Washington, DC, USA: IEEE Computer Society

Texto completo disponível

4
SCNN: An accelerator for compressed-sparse convolutional neural networks
Material Type:
Ata de Congresso
Adicionar ao Meu Espaço

SCNN: An accelerator for compressed-sparse convolutional neural networks

Parashar, Angshuman ; Minsoo Rhu ; Mukkara, Anurag ; Puglielli, Antonio ; Venkatesan, Rangharajan ; Khailany, Brucek ; Emer, Joel ; Keckler, Stephen W. ; Dally, William J.

2017 ACM/IEEE 44th Annual International Symposium on Computer Architecture (ISCA), 2017, p.27-40

ACM

Sem texto completo

5
OliVe: Accelerating Large Language Models via Hardware-friendly Outlier-Victim Pair Quantization
Material Type:
Ata de Congresso
Adicionar ao Meu Espaço

OliVe: Accelerating Large Language Models via Hardware-friendly Outlier-Victim Pair Quantization

Guo, Cong ; Tang, Jiaming ; Hu, Weiming ; Leng, Jingwen ; Zhang, Chen ; Yang, Fan ; Liu, Yunxin ; Guo, Minyi ; Zhu, Yuhao

Proceedings of the 50th Annual International Symposium on Computer Architecture, 2023, p.1-15

New York, NY, USA: ACM

Sem texto completo

6
Scheduling techniques for GPU architectures with processing-in-memory capabilities
Material Type:
Ata de Congresso
Adicionar ao Meu Espaço

Scheduling techniques for GPU architectures with processing-in-memory capabilities

Pattnaik, Ashutosh ; Xulong Tang ; Adwait Jog ; Kayiran, Onur ; Mishra, Asit K. ; Kandemir, Mahmut T. ; Mutlu, Onur ; Das, Chita R.

2016 International Conference on Parallel Architecture and Compilation Techniques (PACT), 2016, p.31-44

ACM

Sem texto completo

7
Architectures of flexible symmetric key crypto enginesaa survey: From hardware coprocessor to multi-crypto-processor system on chip
Material Type:
Artigo
Adicionar ao Meu Espaço

Architectures of flexible symmetric key crypto enginesaa survey: From hardware coprocessor to multi-crypto-processor system on chip

Bossuet, Lilian ; Grand, Michael ; Gaspar, Lubos ; Fischer, Viktor ; Gogniat, Guy

ACM computing surveys, 2013-08, Vol.45 (4), p.1-32 [Periódico revisado por pares]

Texto completo disponível

8
A dynamically configurable coprocessor for convolutional neural networks
Material Type:
Ata de Congresso
Adicionar ao Meu Espaço

A dynamically configurable coprocessor for convolutional neural networks

Chakradhar, Srimat ; Sankaradas, Murugan ; Jakkula, Venkata ; Cadambi, Srihari

Proceedings of the 37th annual international symposium on Computer architecture, 2010, p.247-257

New York, NY, USA: ACM

Texto completo disponível

9
Exploring Instruction Fusion Opportunities in General Purpose Processors
Material Type:
Ata de Congresso
Adicionar ao Meu Espaço

Exploring Instruction Fusion Opportunities in General Purpose Processors

Singh, Sawan ; Perais, Arthur ; Jimborean, Alexandra ; Ros, Alberto

2022 55th IEEE/ACM International Symposium on Microarchitecture (MICRO), 2022, p.199-212

Piscataway, NJ, USA: IEEE Press

Sem texto completo

10
Sparse ReRAM engine: joint exploration of activation and weight sparsity in compressed neural networks
Material Type:
Ata de Congresso
Adicionar ao Meu Espaço

Sparse ReRAM engine: joint exploration of activation and weight sparsity in compressed neural networks

Yang, Tzu-Hsien ; Cheng, Hsiang-Yun ; Yang, Chia-Lin ; Tseng, I-Ching ; Hu, Han-Wen ; Chang, Hung-Sheng ; Li, Hsiang-Pang

2019 ACM/IEEE 46th Annual International Symposium on Computer Architecture (ISCA), 2019, p.236-249

New York, NY, USA: ACM

Sem texto completo

Personalize Seus Resultados

  1. Editar

Refine Search Results

Mostrar Somente

  1. Recursos Online (78.190)
  2. Revistas revisadas por pares (17.923)

Refinar Meus Resultados

Tipo de Recurso 

  1. Anais de Congresso  (59.336)
  2. Artigos  (18.901)
  3. magazinearticle  (11.067)
  4. Book Chapters  (74)
  5. Livros  (24)
  6. Resenhas  (10)
  7. Recursos Textuais  (8)
  8. Web Resources  (2)
  9. Mais opções open sub menu

Data de Publicação 

De até
  1. Antes de1966  (1.413)
  2. 1966Até1979  (2.602)
  3. 1980Até1993  (6.471)
  4. 1994Até2008  (27.096)
  5. Após 2008  (52.341)
  6. Mais opções open sub menu

Idioma 

  1. Inglês  (89.414)
  2. Japonês  (4.081)
  3. Francês  (40)
  4. Português  (27)
  5. Norueguês  (5)
  6. Espanhol  (2)
  7. Chinês  (1)
  8. Mais opções open sub menu

Buscando em bases de dados remotas. Favor aguardar.