skip to main content

Parameterized Non-Gaussian Variational Gate Timing Analysis

Abbaspour, S.. ; Fatemi, H.. ; Pedram, M..

IEEE transactions on computer-aided design of integrated circuits and systems, 2007-08, Vol.26 (8), p.1495-1508 [Periódico revisado por pares]

New York: IEEE

Texto completo disponível

Citações Citado por

Buscando em bases de dados remotas. Favor aguardar.