skip to main content
Resultados 1 2 3 4 5 next page
Mostrar Somente
Result Number Material Type Add to My Shelf Action Record Details and Options
1
Preparation of irregular silica nano-abrasives for the chemical mechanical polishing behaviour on sapphire substrates
Material Type:
Artigo
Adicionar ao Meu Espaço

Preparation of irregular silica nano-abrasives for the chemical mechanical polishing behaviour on sapphire substrates

Dong, Yue ; Lei, Hong ; Liu, Wenqing

Micro & nano letters, 2019-11, Vol.14 (13), p.1328-1333 [Periódico revisado por pares]

Stevenage: The Institution of Engineering and Technology

Texto completo disponível

2
A CNN Based CMP Planarization Model Considering LDE Effect
Material Type:
Artigo
Adicionar ao Meu Espaço

A CNN Based CMP Planarization Model Considering LDE Effect

Bao, Han ; Chen, Lan

IEEE transactions on components, packaging, and manufacturing technology (2011), 2020-04, Vol.10 (4), p.1-1 [Periódico revisado por pares]

Piscataway: IEEE

Texto completo disponível

3
Preliminary Study on Fluidized Bed Chemical Mechanical Polishing (FB-CMP) Process for Stainless Steel 304 (SS304)
Material Type:
Artigo
Adicionar ao Meu Espaço

Preliminary Study on Fluidized Bed Chemical Mechanical Polishing (FB-CMP) Process for Stainless Steel 304 (SS304)

Kim, Taekyoung ; Lee, Hyunseop

Micromachines (Basel), 2020-07, Vol.11 (7), p.705 [Periódico revisado por pares]

Basel: MDPI AG

Texto completo disponível

4
Effects of trivalent lanthanide (La and Nd) doped ceria abrasives on chemical mechanical polishing
Material Type:
Artigo
Adicionar ao Meu Espaço

Effects of trivalent lanthanide (La and Nd) doped ceria abrasives on chemical mechanical polishing

Kim, Eungchul ; Lee, Jaewon ; Bae, Chulwoo ; Seok, Hyunho ; Kim, Hyeong-U ; Kim, Taesung

Powder technology, 2022-01, Vol.397, p.117025, Article 117025 [Periódico revisado por pares]

Lausanne: Elsevier B.V

Texto completo disponível

5
A fundamental model proposed for material removal in chemical–mechanical polishing
Material Type:
Artigo
Adicionar ao Meu Espaço

A fundamental model proposed for material removal in chemical–mechanical polishing

Xin, J. ; Cai, W. ; Tichy, J.A.

Wear, 2010-02, Vol.268 (5), p.837-844 [Periódico revisado por pares]

Amsterdam: Elsevier B.V

Texto completo disponível

6
A review on chemical and mechanical phenomena at the wafer interface during chemical mechanical planarization
Material Type:
Artigo
Adicionar ao Meu Espaço

A review on chemical and mechanical phenomena at the wafer interface during chemical mechanical planarization

Seo, Jihoon

Journal of materials research, 2021-01, Vol.36 (1), p.235-257 [Periódico revisado por pares]

Cham: Springer International Publishing

Texto completo disponível

7
Improvement in chemical mechanical polishing of 4H-SiC wafer by activating persulfate through the synergistic effect of UV and TiO2
Material Type:
Artigo
Adicionar ao Meu Espaço

Improvement in chemical mechanical polishing of 4H-SiC wafer by activating persulfate through the synergistic effect of UV and TiO2

Wang, Wantang ; Zhang, Baoguo ; Shi, Yunhui ; Ma, Tengda ; Zhou, Jiakai ; Wang, Ru ; Wang, Hanxiao ; Zeng, Nengyuan

Journal of materials processing technology, 2021-09, Vol.295, p.117150, Article 117150 [Periódico revisado por pares]

Amsterdam: Elsevier B.V

Texto completo disponível

8
Study on high efficient sapphire wafer processing by coupling SG-mechanical polishing and GLA-CMP
Material Type:
Artigo
Adicionar ao Meu Espaço

Study on high efficient sapphire wafer processing by coupling SG-mechanical polishing and GLA-CMP

Xu, Yongchao ; Lu, Jing ; Xu, Xipeng ; Chen, Chao-Chang A. ; Lin, Yujing

International journal of machine tools & manufacture, 2018-08, Vol.130-131, p.12-19 [Periódico revisado por pares]

Elmsford: Elsevier Ltd

Texto completo disponível

9
Effects of ultra-smooth surface atomic step morphology on chemical mechanical polishing (CMP) performances of sapphire and SiC wafers
Material Type:
Artigo
Adicionar ao Meu Espaço

Effects of ultra-smooth surface atomic step morphology on chemical mechanical polishing (CMP) performances of sapphire and SiC wafers

Zhou, Yan ; Pan, Guoshun ; Shi, Xiaolei ; Zhang, Suman ; Gong, Hua ; Luo, Guihai

Tribology international, 2015-07, Vol.87, p.145-150 [Periódico revisado por pares]

Elsevier Ltd

Texto completo disponível

10
Improvement of oxide removal rate in chemical mechanical polishing by forming oxygen vacancy in ceria abrasives via ultraviolet irradiation
Material Type:
Artigo
Adicionar ao Meu Espaço

Improvement of oxide removal rate in chemical mechanical polishing by forming oxygen vacancy in ceria abrasives via ultraviolet irradiation

Kim, Eungchul ; Hong, Jiah ; Hong, Seokjun ; Kanade, Chaitanya ; Seok, Hyunho ; Kim, Hyeong-U ; Kim, Taesung

Materials chemistry and physics, 2021-11, Vol.273, p.124967, Article 124967 [Periódico revisado por pares]

Lausanne: Elsevier B.V

Texto completo disponível

Resultados 1 2 3 4 5 next page

Personalize Seus Resultados

  1. Editar

Refine Search Results

Expandir Meus Resultados

  1.   

Mostrar Somente

  1. Revistas revisadas por pares (2.199)

Refinar Meus Resultados

Tipo de Recurso 

  1. Artigos  (2.341)
  2. Anais de Congresso  (91)
  3. Book Chapters  (72)
  4. Newsletter Articles  (40)
  5. magazinearticle  (20)
  6. Livros  (11)
  7. Conjunto de Dados  (4)
  8. Reports  (3)
  9. Dissertações  (1)
  10. Mais opções open sub menu

Data de Publicação 

De até
  1. Antes de1997  (24)
  2. 1997Até2003  (249)
  3. 2004Até2010  (502)
  4. 2011Até2018  (880)
  5. Após 2018  (927)
  6. Mais opções open sub menu

Idioma 

  1. Inglês  (2.540)
  2. Japonês  (451)
  3. Chinês  (17)
  4. Coreano  (11)
  5. Alemão  (4)
  6. Russo  (2)
  7. Francês  (2)
  8. Ucraniano  (1)
  9. Mais opções open sub menu

Buscando em bases de dados remotas. Favor aguardar.